在 Mac 上运行 verilog

在 Mac 上运行 verilog

我需要在 Macbook Pro 上模拟 verilog 以供学习。我尝试下载、配置和安装 icarus 和 veriwell。它们make test适用于 icarus,但不适用于 veriwell,它们都无法被终端识别。

我使用的命令是:

iverilog hello.vl

veriwell hello.vl

终端声称这两个命令都不存在......

我对使用终端配置工具还很陌生,但我认为问题只是事后使用该程序。有什么想法吗?

答案1

我没有尝试过 veriwell,但我使用 Icarus 和GTKWave用于verilog仿真环境。

我使用 verilog 模式水上麦克斯作为语法高亮编辑器。

拿出你的 hello.vl(通常只使用 .v)并使用以下代码进行编译

iverilog -o hello hello.vl

这将创建一个名为 hello 的目标文件。要执行它,请键入

vpp hello

开始编写测试台后,您将需要转储波形文件。您可以通过将其添加到测试台来实现。

initial
 begin
  $dumpfile("wave.lxt");
  $dumpvars(0, <test bench module>);
 end

然后您可以将 wave.lxt 加载到 GTKWave 中(请注意,您需要 X11 才能使用 GTKWave)。

更高级一点,您可以设置 Aquamacs 使用 Icarus 来编译您的代码,这样您在离开编辑器之前就知道是否有编译错误。

答案2

你做了吗make install?假设是这样,请确保它安装到的目录在你的 中$PATH。如果你正在运行 csh/tcsh,你可能需要运行rehash命令让 shell 在路径中查找新程序。

以下是两个类似的问题:

相关内容