Systemverilog 颜色适用于 vi 吗?

Systemverilog 颜色适用于 vi 吗?

我在 Systemverilog 中添加了正确的 $display,以便在日志文件中使用颜色。当我使用“more”时看起来很棒,但 vi 不显示颜色。是否有我需要定义的 .vimrc 设置文件。我没有。我看到了一些关于添加“aubBufNewFike..”的建议,但那没有用。

它适用于更多情况,所以我认为这更多的是 Linux 设置环境的问题

这是设置颜色的代码

$显示(“%c[1;34米",27);

答案1

嗯…'aubBufNewFike..'肯定不行,因为这并不意味着任何事物

显然,$display("%c[1;34m",27);在程序的输出中插入转义序列。这里的问题是,Vim 是一个纯文本编辑器,无法解释这些转义序列。

从那里,您可以...

  • more只需继续阅读或中的日志文件less,这是唯一有意义的事情,因为这是任务和材料的性质,
  • 或者寻找合适的插件

相关内容