如何在 Ubuntu 中模拟 Verilog 代码?

如何在 Ubuntu 中模拟 Verilog 代码?

想要执行 verilog 代码。是否有可能获得一个带有图形界面的。

答案1

您可以使用以下方式下载 SynpatiCAD关联。

SynpatiCAD 是一款时序图软件、Verilog 模拟器、Verilog 编译器和测试台创建

相关内容