如何在 Ubuntu 上使用 Verilog HDL?

如何在 Ubuntu 上使用 Verilog HDL?

我尝试用一​​些例子来开始一门小课程VerilogHDL,在花了很多时间寻找最好的模拟器或者集成开发环境通过一些例子来练习,我没能弄清楚如何在 Ubuntu 12.04 上使用它

因此,我有两个问题:

  1. 如何在 Ubuntu 12.04 上使用 Verilog?

  2. 适用于 Linux 的最佳模拟器(或 IDE)是什么?

答案1

这篇文章有帮助吗:Linux(Ubuntu)上的 Verilog 和 VHDL

它建议结合使用伊卡洛斯 Verilogiverilog在存储库中)用于 Verilog 仿真,大肠杆菌用于 VHDL 仿真,以及GTKWavegtkwave在存储库中)用于查看波形。

如果你想要一个基于 Eclipse 的 Verilog 编辑器,请尝试维迪托

答案2

安装Icarus Verilog 编译器GTKWave(VCD(Value Change Dump)文件波形查看器)

sudo apt install iverilog
sudo apt install gtkwave

为了检查一切是否正常工作,让我们来运行一下 Verilog 的 hello-world。

nano hello.v

然后编写以下 hello-world 代码

module main;
  initial
    begin
    $display("Hello world");
    $finish;
    end
  endmodule

然后编译

iverilog hello.v -o hello

最后运行你的代码

vvp hello

答案3

您可以下载 SynpatiCAD 的 LinuxVerilog 模拟器它与 Ubuntu 兼容。它包含一个命令行模拟器和一个图形 IDE。安装后,您可以运行该工具并申请该模拟器的免费 6 个月许可证。

答案4

在终端窗口中尝试此命令:

sudo apt-get install gplcver

相关内容